那个VHDL开发板~~怎么用quartus编程~~编出一个led灯每隔一秒闪一次啊~~

2024-07-04 14:17发布

ENTITY LED IS PORT(clk: IN BIT; -- 假设clk为2Hz,周期0.5秒 led_out: OU

ENTITY LED IS PORT(clk: IN BIT; -- 假设clk为2Hz,周期0.5秒 led_out: OU